Sélectionner une page

Nous l'avons essayé : AMD A8-3850 APU - Présentation d'AMD Llano, c'est à dire la "grande" Fusion

Nous l'avons essayé : AMD A8-3850 APU - Présentation d'AMD Llano, c'est à dire la "grande" Fusion

Nous l'avons essayé : AMD A8-3850 APU - Présentation d'AMD Llano, c'est à dire la "grande" FusionAPU, Fusion, Vision, IGP, puce programmable de manière hétérogène. Haut degré d'intégration. Tout en un carreau. Ce sont les mots-clés. Disponible est Llano, qui se bat pour les faveurs des clients sur les plates-formes de bureau et mobiles. Nous avons visité l'APU AMD A8-3850…

Cette histoire a commencé il y a environ 5 ans. Nous avons tous pris conscience lorsque nous avons appris la nouvelle lorsqu'AMD a annoncé son acquisition d'ATI Technologies, célèbre pour ses cartes vidéo et ses chipsets de carte mère Radeon. À l'époque, le commun des mortels avait du mal à comprendre pourquoi cette étape était nécessaire de la part des deux fabricants de processeurs, mais nombreux étaient ceux qui savaient déjà lire entre les lignes.

news_pr-amd-fusion-01a_full

L'activité GPU n'était même pas l'affaire du millénaire, et une partie importante des Radeon ont trouvé de nouvelles maisons dans les machines Intel, mais une partie de cette zone a dû dire au revoir sous la marque AMD. AMD visait cette décision plus vers l'avenir que le présent, ce qui a coûté à l'entreprise 5,4 milliards de dollars. Pour ce faire, il fallait des prêts, d'énormes versements, une baisse de la participation, un avantage d'Intel et la crise économique a causé de graves difficultés dans la vie de l'entreprise. Malgré toutes les difficultés, AMD n'avait pratiquement pas le choix.

Les dirigeants ont réalisé que pour survivre à long terme, il serait nécessaire de donner vie à une plate-forme complète et globale qu'Intel était déjà en plein essor à l'époque. Cela signifie que le processeur, le chipset, qui peut inclure un cœur graphique, et les contrôleurs graphiques doivent être construits dans leurs propres murs sous la même marque. C'était l'idée de base, mais une idée encore plus avant-gardiste a été conçue dans l'esprit des ingénieurs, une idée appelée Fusion dans le baptême. Ce concept prévoyait que les tâches CPU et GPU devaient être combinées sur une seule tranche de silicium. Le fabricant de processeurs n'ayant pas la technologie et l'expérience pour produire des GPU, l'acquisition d'ATI s'est également avérée être une étape incontournable dans cette direction.

Pendant longtemps, on savait très peu de choses sur Fusion, mais au fil du temps, de plus en plus d'informations ont coulé sur les produits et technologies à venir, alors que le premier représentant de Fusion a dû attendre février de cette année. Ce n'est finalement pas devenu le Llano, mais la plate-forme Brazos, avec laquelle AMD a envoyé un sérieux message de guerre à la gamme de produits Intel Atom, et une bataille sanglante a commencé dans le domaine des nettops et des netbooks, respectivement.

amd-fusion-petit

On a donc déjà pu se familiariser avec le terme APU en lien avec Brazos, qui aurait pris du retard, nous recommandons notre article sur le sujet. Six mois plus tard, la suite est arrivée, avec la sortie de Llano, les APU évoluent également vers des ordinateurs de bureau et mobiles plus puissants, voyons ce que vous devez savoir sur la nouvelle famille !

nouvelle ère

AMD ne cache pas de grands espoirs pour les puces de Llano. La nouveauté le jour de sa sortie notre collègue l'a déjà présenté en détail, mais comme le dit le proverbe, « la répétition est la mère de la connaissance ».

lano3

Que sont « Llano », « Lynx » et « Sabine » ?

Les nouveaux produits du deuxième plus grand fabricant de processeurs sont accompagnés de plusieurs noms fantaisistes. La puce, nom de code Llano, est une autre unité de traitement hétérogène programmable (Accelerated Processing Unit). L'APU est construit sur les lignes de production SHP 32 nm de GlobalFoundries, a une superficie physique de 228 millimètres carrés et contient 1,45 milliard de transistors. La puce embarque jusqu'à quatre cœurs de processeur x86 ainsi qu'un puissant IGP avec 400 unités de calcul. Llano peut être considéré comme le fondement de deux plateformes : Lynx sur le bureau et Sabine sur le marché mobile. Ce dernier peut contenir de la mémoire système fonctionnant jusqu'à 1600 MHz dans les deux sockets SODIMM, tandis que le premier peut être une mémoire DDR1866 officielle jusqu'à 3 MHz à 1,5 volts.

llano-présentation1

Membres de la plateforme Sabine

L'avant-propos est qu'AMD a frappé le coin avec Llano sur sa tête. Les analystes s'attendent à une baisse constante du marché des GPU autonomes - Fusion n'est plus que de l'huile sur le feu - et répondre aux besoins des utilisateurs nécessite de tels produits. L'APU a les performances d'un Athlon II X4 ou d'une carte graphique discrète bas de gamme, ce qui le rend attrayant pour un très large éventail de personnes.

135507_llano-5

La gamme complète Llano de bureau

Tous les niveaux, presque tout !

Série AMD A4 - L'entrée de gamme

En tant que membre de la série A4, l'A4-3400 comprend un processeur dual-core et un contrôleur graphique Radeon HD 6410D intégré. Le CPU est à 2,7 GHz et le processeur graphique est à 600 MHz. L'APU appartient à la classe de puissance 100 watts. L'A4-3300 a des paramètres similaires, un contrôleur SUMO inchangé avec 160 unités de calcul et 1 Mo de cache de second niveau. Le produit est né avec essentiellement une simple réduction d'horloge, de sorte que la vitesse du processeur a été réduite de 200 MHz, tandis que la vitesse du processeur graphique a été réduite de 157 MHz.

Série AMD A6 - La classe moyenne

La série compte trois membres, cependant, au départ, seul l'A6-3650 sera disponible. Le processeur quad-core est cadencé à 2,6 GHz et consomme jusqu'à 100 watts. Vient ensuite le A6-3600 - 2,4/2,1 GHz (Turbo Core), également équipé de quatre cœurs. On peut voir d'après la numérotation de l'APU (se terminant par xx00) que sa demande de puissance est inférieure à 65 watts. Dans la plus petite copie, les ingénieurs ont désactivé un cœur de processeur, mais n'ont appliqué aucun autre changement par rapport à l'A6-3600. Les trois APU sont équipés du HD 6530D, dans lequel 320 shaders ont été activés.

Aseries_pick

La pointe de l'iceberg : les séries A8 et A6

Série AMD A8 - Le boîtier supérieur

a83850_upk      a83850_downk

Haut et bas de l'APU AMD A8-3850

Les APU Lynx les plus puissants peuvent être trouvés ici. La catégorie s'appuie actuellement sur deux modèles, mais l'A8-3870 « Black Edition » arrivera plus tard cette année. Les quatre cœurs de processeur de l'A8-3850 – notre sujet de test aujourd'hui – fonctionnent à 2,9 GHz, il n'est donc pas étonnant qu'il ait une faim d'énergie de 100 watts. L'A8-3800 – 2.7/2.4 GHz (Turbo Core) – ne semble pas seulement prometteur à première vue en termes d'indicateur de consommation et de performance. Espérons que nous pourrons prochainement mettre le nouveau sauveur d'AMD sur notre banc de test. Le GPU intégré de la série A8 est la Radeon HD 6550D.

papa_pouvoir_s

AMD-Llano-Notebook-A-Series-Fusion-APU-Smoother-Video-DirectX-11-USB-3.0-et-10.5-heures-d'endurance

"Sumo" est imbattable

Le processeur graphique intégré « Sumo » de Llano est une sorte de réincarnation de Redwood. L'IGP était équipé de cinq matrices de shaders à 80 voies, de 20 canaux de texturation compatibles Gather4 et de deux blocs ROP avec huit unités de mélange. Le GPU, bien sûr, utilise la mémoire système pour stocker les données, auxquelles l'APU peut accéder via le contrôleur de mémoire à double canal. Enveloppe d'avance, les performances d'une manette qui fait buffle dans sa catégorie dépendent fortement de la vitesse de la mémoire. Sur la base de mesures provenant de sites de test étrangers, il est conseillé d'utiliser au moins des mémoires DDR1600 à 3MHz, sinon un ralentissement important est à prévoir. Comme la plate-forme Brazos, toutes les technologies indépendantes des fournisseurs sont prises en charge : DirectX 11, OpenGL 4.1, OpenCL 1.1, OpenGL ES 2.0, WebGL, WebCL, DirectCompute 5.0.

sumo

Et le moteur multimédia UVD 3 garantit l'accélération vidéo H.264 / AVC, MPEG-2/4, VC-1, DivX et Xvid jusqu'à la résolution Ultra HD. AMD a jugé bon de relancer le concept Hybrid CrossFire, désormais appelé Dual Graphics. Cela signifie que des cartes graphiques discrètes définies en plus de l'IGP de Llano peuvent être associées, additionnant les vitesses des deux. Cependant, la fonctionnalité ne fonctionne qu'avec quelques contrôles, qui sont illustrés ci-dessous. Le contrôleur PCI-E peut gérer un total de 24 bandes, dont 16 pour un contrôleur vidéo externe, 4 pour le FCH et quatre autres pour les appareils nécessitant une faible latence et une bande passante relativement élevée, comme Ethernet.

Merci, vous pouvez vous asseoir, super.

3850_sumo

sumo2

L'autre côté de la pièce

Les cœurs de processeur, appelés APU Husky, n'ont pas de cache de troisième niveau, mais les ingénieurs ont augmenté la taille du cache L512 de 1 kilo-octets à 2 mégaoctet, un peu pour compenser le manque à gagner. La taille du cache des données L1 et de l'instruction L1 est de 64 à 64 Ko.

amd_husky

La structure de l'architecture est très similaire aux solutions K10 déjà obsolètes. Chaque noyau se compose de 35 millions de transistors, a une surface de 9,7 mm2 et une consommation typique de 10 à 15 watts, qui peut être réduite à 2,5 watts lorsqu'elle est déchargée en raison d'un gating agressif. Les jeux d'instructions incluent SSE1, SSE2, SSE3, SSE4A et tous 3DNow! connaît l'extension. Compte tenu de l'historique de l'architecture, sans surprise, il ne prend pas en charge SSE 4.1 et 4.2.

amd_turbo_core_2

La présence de TurboCore 2.0 n'est pas un facteur négligeable. Cette fonctionnalité est même venue avec les tuiles Thuban. La méthode est capable d'augmenter considérablement la vitesse d'horloge des cœurs de processeur uniquement si la consommation accrue ne dépasse pas la limite TDP maximale spécifiée. En utilisant un exemple spécifique pour faciliter la compréhension : si l'IGP est complètement chargé, l'horloge du processeur ne peut pas être augmentée, mais si nous ne chargeons que le moteur UVD - qui a une consommation d'énergie négligeable - TurboCore 2.0 peut augmenter considérablement l'horloge du cœur Husky de sorte que l'augmentation la consommation s'inscrit toujours dans le cadre TDP prédéfini. Cela peut signifier jusqu'à 35 MHz de vitesse supplémentaire pour les versions mobiles de 900 watts. Il est également important de mentionner ici que TurboCore 2.0 ne s'applique qu'aux cœurs de processeur, et non plus à IGP.

La gestion améliorée de l'énergie est étroitement liée à cela, qui est désormais capable de déconnecter complètement les composants qui ne sont pas utilisés, réduisant ainsi considérablement la consommation de puces.

3850_husky

A propos de l'auteur